快訊

    台積電重磅發表「A16」技術! 象徵奈米時代結束 正進入埃米時代

    2024-04-25 20:30 / 作者 戴嘉芬
    台積電在北美技術論壇發表A16全新技術節點,這象徵奈米時代結束,正進入埃米時代。取自TSMC
    台積電於美國時間24日舉辦北美技術論壇,會中首度發表TSMC A16技術,結合領先的奈米片電晶體及創新的背面電軌(backside power rail)解決方案,藉此大幅提升邏輯密度及效能,預計2026年量產,也象徵製程從奈米N世代跨入埃米A世代。

    會中也揭示其最新製程技術、先進封裝技術、以及三維積體電路(3D IC)技術,憑藉此領先的半導體技術來驅動下一世代人工智慧(AI)的創新。

    台積電認為,現在每個人都知道人工智慧正在驅動巨大的運算需求。它的需求之大,無論大家製造出多高的運算能力,這些需求都能找到一種方式來消耗它。

    人工智慧會消耗掉所有為市場帶來的運算能力。技術本身並不便宜,而且變得越來越昂貴,因此台積電會持續投資,確保為客戶帶來最先進的矽技術解決方案。這不僅涉及財務投資,還包括人力資本,公司研發預算不斷增長,以因應技術發展的需求。

    對於客戶來說,技術藍圖的可預測性是非常重要的。他們的產品獲得成功都取決於台積電能否提供技術的能力。

    台積電表示,去年是N3(3奈米)技術進入量產的第一年,今年進入了第二年,並推出相較於N3性能顯著增強的N3E。但公司並不會止步於此,台積電將推出密度性能更高的N3P,還有針對高性能運算的N3X。

    台積電策略非常明顯,就是每當推出新一代技術之後,會繼續提供增強版本,讓客戶能繼續獲得技術持續推進所帶來的優勢。

    N2(2奈米)將是一個全新一代的技術,是一個革命性的節點,將電晶體架構從FinFET改為Nanosheet。目標是N2在 2025 年下半年進入量產。就如同N3技術推進的節奏,未來將繼續推出N2P、N2X 等技術解決方案。

    談到A16,台積電表示,這是一個非常重要的技術,對公司是很大的創新。這象徵奈米(N)時代已經結束了,現在進入了埃米(A)時代,因此,台積電正在把N命名慣例轉變為A。

    台積電表示,A16是一個非常重要的節點。首先,A16 基於奈米片電晶體技術構建,還帶來了一個全新的創新,它稱之為超級電軌(Super PowerRail)架構。

    基本上,超級電軌技術將供電網路移到晶圓背面,它的創新之處在於不浪費空間,在晶圓正面可釋出更多空間來布建訊號網路。

    在技術做法上,台積電將這種普通的連接技術與背面供電網路能力結合在一起,應用於奈米片電晶體上,讓效能最高提升了10%,功率最多降低了20%,晶片密度最多提高了10%。因此,在功率、效能、面積方面有了非常顯著的提升。

    談到先進封裝技術CoWoS,台積電表示,CoWos是 AI 設計和 AI 系統設計的關鍵技術,因此客戶真的很希望能進一步發展 CoWoS,讓 CoWos 和更多邏輯與記憶體整合在一起。所以,中介層的尺寸擴大了,透過光罩尺寸的數量來衡量,時至今日,就中介層而言,最先進的技術約為光罩尺寸的 3.3 倍;在未來,預見會到 5.5 倍。

    台積電已與客戶討論將中介層拓展到做最多 7至 8 倍的光罩尺寸,且正努力將晶圓級整合引進通用平台解決方案。因此,就尺寸而言,可提供高達 40 倍的光罩尺寸,這是邁向未來的一大步,可將更多的運算功能、更多的記憶體頻寬整合在一起,以實現 AI 應用。

    台積電表示,先進封裝對於車用產品也非常重要,因為汽車製造商真的很喜歡這種小晶片解決方案。他們可以混搭不同的設計來滿足不同車款、不同市場和不同價格帶的需求。

    因此,台積電為汽車產業創造了兩種整合式解決方案:一種是來自手機解決方案的 InFO,另一種是來自高效能運算解決方案的 CoWoS-R。透過這兩種解決方案,客戶可自行進行設計,以因應非常廣泛的應用。

    戴嘉芬 收藏文章

    本網站使用Cookie以便為您提供更優質的使用體驗,若您點擊下方“同意”或繼續瀏覽本網站,即表示您同意我們的Cookie政策,欲瞭解更多資訊請見